經濟日報 App
  • 會員中心
  • 訂閱管理
  • 常見問題
  • 登出

英特爾決戰2奈米 基辛格還有路要走!4年追趕5代製程 與台積電維持競合關係

本文共2322字

財訊雙週刊 文/林宏達

英特爾執行長基辛格表示,今年將完成兩個製程世代研發,明年再推進兩個世代。按照他的計畫,英特爾和台積電既競爭又合作的新局面,即將展開。

根據《財訊》報導,過去10年,英特爾將處理器製程從14奈米升級為10奈米製程過程中,量產時間二度跳票,結果不只是讓超微崛起,侵蝕英特爾原本獨霸的PC和資料中心市場,更將全球頂尖製程技術的寶座讓給台積電。

但,9月19日,英特爾INNOVATION大會上,執行長基辛格表示,明年底,英特爾將推進至18A製程,挑戰台積電2奈米製程,並將用於2025年推出的伺服器處理器產品上。英特爾認為,屆時將用比超微更佳的製程奪回市場。台積電則預計於2025年量產2奈米製程晶片。

今年火力強 推進二代製程

「很多創新都是由摩爾定律的魔法推動,我們正在4年推進五代製程的路上,這是個很大膽的目標,但我們讓它實現了,」基辛格在講台上拿起晶片說。去年,英特爾已用英特爾7製程(約相當於台積電10奈米)製造出處理器;今年,即將推出用英特爾4製程(約相當於台積電7奈米)製造的新處理器,並正在美國奧勒岡廠製造,愛爾蘭廠也將導入相同製程。今年底,更將採用英特爾三製程(約相當於台積電3奈米)試產代號Sierra Forrest的處理器。換句話說,英特爾在兩年內要推進3代製程。

《財訊》報導指出,更重要的是,基辛格宣告英特爾下一代製程20A將在明年上半年推出。A代表埃米,一埃米是10分之1奈米,20A代表的即是2奈米製程。由於電晶體的體積極小,因此,英特爾將改採用GAA(閘極全環電晶體)技術設計的新型電晶體「RibbonFET」,以及從背面供電的PowerVia技術。他表示英特爾明年底將推出18A製程,由於台積電也將改採GAA技術設計電晶體,明年底,兩家公司將決戰2奈米製程。

事實上,英特爾製程大躍進,關鍵恐怕不在製程研發能力的提升。一位業界人士觀察,英特爾製造部門研發能力和製造能力並沒有大幅提高,「連他們自己都不看好英特爾的製造能力」。

《財訊》分析,英特爾製程快速超車,關鍵仍在艾司摩爾。因為,過去英特爾認為台積電是靠砸大錢換取技術領先,認為自己能靠技術改善製程,導致10奈米製程花近10年才研發完成,基辛格曾在專訪中坦承「我們太驕傲了」。

他上任後,今年推出的英特爾4終於用上EUV製程,而且,英特爾已搶下艾司摩爾下一代高數值孔徑EUV曝光機,一台要價3億到3.5億歐元(約合台幣104億到121億元),明年一拿到,直接用在英特爾20A和18A製程開發上。

艾司摩爾EUV 關鍵推手

根據《財訊》報導,過去,英特爾最大的包袱,就是設計部門和製造部門的矛盾。一位業界人士觀察,英特爾的設計部門能力極為出色,如果分拆,獲利能力就不再受到製造部門效率不彰的影響。但台積電創辦人張忠謀曾表示,「製造是英特爾的靈魂,英特爾不可能輕易放棄製造。」

今年6月,英特爾宣布將分拆旗下晶圓代工部門,過去基辛格曾提出IDM 2.0概念,一面接晶圓代工訂單,一面又和台積電、晶圓代工廠合作。這次大會上,他展示的最新處理器晶片,以及一顆以UCIe標準和台積電合作打造的晶片,已揭露英特爾如何同時跟台灣晶圓廠既競爭又合作。

根據《財訊》報導,業界人士透露,今年英特爾推出的最新型處理器,最核心的運算單元仍是用英特爾最新製程打造,但繪圖單元則由台積電製造,再利用先進封裝整合成一顆晶片。這一次,英特爾首次加入NPU單元,打造AI處理器和輝達、超微競爭。

英特爾同時展示和台積電合作打造的成果,意義在於這款新晶片標準訂出各家晶圓廠合作時,共同遵循的互連、相容性的方式。這個模式的特點是,英特爾設計部門要設計一顆處理器時,可以為不同的功能選擇成本最有競爭力的供應商,擺脫英特爾製造部門的限制,而讓製造部門專注生產最核心的運算單元。

晶圓代工 台積電技術領先

但是,基辛格的改造能不能扭轉英特爾的頹勢,仍有待觀察,以賽亞副總陳逸萍觀察,英特爾四年推五代製程,在產品策略和製程的搭配上也有了變化,例如,今年推出的METEOR LAKE處理器採用英特爾四製程,2024年下一代處理器ARROW LAKE推出時,卻將採用英特爾20A製程,2024年之後推出的LUNAR LAKE處理器又將改採英特爾18A製程,和過去一代製程用在好幾代產品上的做法大為不同,改變帶來的影響值得觀察。

一位業界人士觀察,英特爾在處理器市場的市占率仍在下滑,在這種劣勢下全力花大錢投資,也和一般半導體產業的運作邏輯不同。他認為,外界原本期待英特爾下大單請台積電代工,但到目前為止,台積電都還沒看到來自英特爾的大訂單。

不過,《財訊》報導指出,英特爾近期動作頻頻,不只邀請媒體參觀馬來西亞廠,釋出可承接先進封裝代工訂單的訊息,今年九月更表示,18A製程已經拿到兩家大客戶的訂單,表示晶圓代工業務2026年起將帶進實質營收。

陳逸萍同時也認為,台積電仍在晶圓代工技術上相對英特爾優勢。她認為英特爾有生產高端技術處理器的實力,但是,供給自家產品的製造技術是一回事,發展供各種不同客戶,製造從處理器到感測器等不同產品又是一回事。

同時,英特爾也正積極尋找合作夥伴,最近與Towerjazz達成的策略聯盟也有助於提升英特爾未來在不同產品線的技術能力。然而,最終能否與台積電的代工技術相抗衡,目前仍難以定論。

但無論商業上誰勝誰負,在現在地緣政治的氛圍下,這場半導體製程王座爭霸戰,兩邊都有不能輸的壓力。

延伸閱讀:

一季規模即突破700億元!包租公、節稅族群新歡 ETF當紅不可不知的三件事

台海若有戰事 會放下一切衝到最前線採訪…一生懸命,野島剛の記者魂

掌握高票價契機 軟硬體強力升級 高星潢多管齊下,打造華航New Balance

※ 歡迎用「轉貼」或「分享」的方式轉傳文章連結;未經授權,請勿複製轉貼文章內容

《財訊雙週刊》隔週四出刊,1974年創刊,是台灣財經雜誌中,最資深權威的財經專業媒體雜誌是創造兩岸三地政經投資理財議題,洞燭市場的先行者。

延伸閱讀

上一篇
台虹泰國廠開幕 首季完成量產準備
下一篇
廣達Q1三率三升 每股賺3.13元

相關

熱門

看更多

看更多

留言

完成

成功收藏,前往會員中心查看!